Por favor, use este identificador para citar o enlazar este ítem: http://bibdigital.epn.edu.ec/handle/15000/3705
Registro completo de metadatos
Campo DCValorLengua/Idioma
dc.contributor.authorArévalo Bermeo, Germán Vicente-
dc.contributor.authorCárdenas López, Daniel Felipe-
dc.date.accessioned2011-04-07T22:11:23Z-
dc.date.available2011-04-07T22:11:23Z-
dc.date.issued2010-11-
dc.identifier.urihttp://bibdigital.epn.edu.ec/handle/15000/3705-
dc.description.abstractClock and data recovery CDR is an important subsystem of every communication device since the receiver must recover the exact transmitter’s clock information usually coded into the incoming stream. Some analogue techniques for CDR have been developed based on PLL theory employing an external VCO. However, sometimes external components could be cumbersome when interfacing them with the digital core (FPGA, DSP) already present in the device. Thus, the digital core is also used to carry out the timing recovery task by all-digital techniques i.e. without an external VCO. This article will describe an all-digital timing recovery subsystem using digital techniques implemented on a FPGAes_ES
dc.language.isoenges_ES
dc.rightsopenAccess-
dc.rights.urihttps://creativecommons.org/licenses/by-nc-nd/4.0/-
dc.subjectDSPes_ES
dc.subjectINTERFACES (COMPUTADORES)es_ES
dc.titleAll digital timing recovery and FPGA implementationes_ES
dc.typeArticlees_ES
Aparece en las colecciones:2010 Memorias de las XXIII Jornadas en Ingeniería Eléctrica y Electrónica (2010 J - FIEE)

Ficheros en este ítem:
Fichero Descripción TamañoFormato 
2010AJIEE-40.pdf165,97 kBAdobe PDFVisualizar/Abrir


Los ítems de DSpace están protegidos por copyright, con todos los derechos reservados, a menos que se indique lo contrario.